自動更新

並べ替え:新着順

ベストポスト
メニューを開く

SystemVerilogのキューに対しては、$を利用するとpush_back()を使わなくても済みます。 pic.twitter.com/Ra1W7SfekO

メニューを開く

検証のためのSystemVerilogプログラミング [ 篠塚 一也 ] hb.afl.rakuten.co.jp/hgc/g00q072e.m… #アウトレット

pcぼぼす@pcbobobobo

メニューを開く

返信先:@f0reachKITディジタル電子回路はSystemVerilogに切り替えたんだし、FPGAの講義も切り替わって欲しいのだ

メニューを開く

検証のためのSystemVerilogプログラミング [ 篠塚 一也 ] hb.afl.rakuten.co.jp/hgc/g00q072e.m… #shopping

へスター@hesutabusta

メニューを開く

これとは別にSystemVerilog本、半分くらいまで行きましたわ pic.twitter.com/QipULTKc05

しまじゃき@obknt

メニューを開く

VivadoはSystemVerilogの仕様をすべてカバーしていないと聞いたことがあるけど、それ以前に割り当てをミスるとかのバグはそれと関係なく対処してほしいし、早くやれ。

メニューを開く

連休はsystemverilogのテストベンチ書くか。

メニューを開く

SystemVerilog改訂版 IEEE Std 1800-2023の良いところは、厳密な補足が追加されている事です。 pic.twitter.com/SeCyQCivVx

メニューを開く

すげー!extendsキーワードなんてもはやJavaだよ、SystemVerilogくん pic.twitter.com/wneXUIevIv

しまじゃき@obknt

メニューを開く

systemverilogsuper.newみたいなのおもしれえ。 頭の中からほぼsystemCが消えてるので新鮮に見えなくもない

メニューを開く

SystemVerilog、extendsキーワードあるんか。 もうJavaじゃん class SubClass extends BaseClass; だって

しまじゃき@obknt

メニューを開く

SystemVerilogでFibonacci数を計算できた(automatic functionで末尾再帰)www pic.twitter.com/XhGBNnpewN

しまじゃき@obknt

メニューを開く

SystemVerilog微妙にC++っぽくないのめんどくせえ。

メニューを開く

Verilog から SystemVerilog へ ↓ 非常に参考になる qiita.com/vega77/items/3…

アンドゥー@carbon_hero

メニューを開く

systemverilogやらないでUVMの本読んでる。 cppからsystemverilogの文法を推測しながら読んでる。

メニューを開く

検証のためのSystemVerilogプログラミング [ 篠塚 一也 ] hb.afl.rakuten.co.jp/hgc/g00q072e.m… #sale

pcぼぼす@pcbobobobo

メニューを開く

ゴールデンウイークですが、SystemVerilogの学習をしている人もいると思います。学習課題を提供します。慣れるとmapは便利なので、使用法を理解すると役立ちます。 pic.twitter.com/M7ugRltbbg

メニューを開く

SystemVerilogの整数型(byte, short, int, longint, integer, time)は、見かけはpackedアレイではありませんが、あたかもpackedアレイのように扱えます。この事実は、LRMに明記されています。 pic.twitter.com/upGwMsRAhH

メニューを開く

2020年過ぎでSystemVerilogに移行してマイペースでコツコツ開発していたやつが終わりに近づいてきた。とはいえまだテストあるし、制御フローもまともにしなきゃならないけど。

メニューを開く

<新着図書> SystemVerilog超入門 : はじめて学ぶハードウェア記述言語 / 篠塚一也著 opac.lib.hosei.ac.jp/opac/opac_link…

法政大学小金井図書館@hosei_kLib

メニューを開く

JetBrains IDEのSystemVerilogプラグイン、メンテされてなくて古〜〜〜いバージョンでしか使えない……

bitrate@Bitrate0

メニューを開く

SystemVerilog、propertyを使ったassertionをなんとなく使っているくらいだからなあ。

しまじゃき@obknt

メニューを開く

SystemVerilogでいろいろなデータ型があることを学んだ 結構モダンだなぁという印象が大きい pic.twitter.com/yYjdclSM5C

しまじゃき@obknt

メニューを開く

Quartus Prime Lite 22.1でSystemVerilog error no support for unions がどうやっても消せない。 当該箇所でtypedef union packedしてるだけなのだが何が間違ってるんだろ。 マニュアルのSection 7—Aggregate Data TypesにもUnionsはSupportedと書いてあるんだけどどうしてなんだよ🥲

ttsurumi@ttsurumi

メニューを開く

SystemVerilog本買ったから読もうっと

しまじゃき@obknt

メニューを開く

AtCoder、SystemVerilogに対応してほしい

しまじゃき@obknt

メニューを開く

SystemVerilogのpackedアレイとunpackedアレイは、大局的にはアレイであり同じ概念です。例えば、何れにもforeachを使用できます。根本的な差異は、データの格納法にあります。 pic.twitter.com/d5bjD4n1cQ

メニューを開く

返信先:@toyoshim1SystemVerilog 限定じゃないかと思います. sv2v はうちの学生さんも使ってますけど,元の SystemVerilog が結構えぐい書き方してても割ときちんと変換できてるぽくはあります.ただ,2段で変換かますとデバッグしやすいかというと微妙かもしれないですね.

R. Shioya@r_shioya

メニューを開く

返信先:@n_hattaこれ、以前気づいて使ってみたい気もしたんだけど、トランスコンパイル先がSystemVerilog限定だったりします?趣味環境だとどうしても未だにVerilog限定だったりする対象もあり……sv2vとか使えば良いのかな。

とよしま@toyoshim

メニューを開く

ボクはSystemVerilogを「プログラミング言語のように書きやすい」みたいに言う主張はかなり嫌いなんだけども(回路はデータフローで常に考えるべき)、この書き方ができるのは、かなり、キモいな...便利だけど。 edaplayground.com/x/pRJ2 pic.twitter.com/iZafC7jYB1

Masayuki@FPGA開発日記@dev_msyksphinz

メニューを開く

SystemVerilogのキューに値を設定するためには、キューリテラルを使うかキューのメソッドを使用しなければなりません。意外と不便を感じますが、新機能のmapを使用すれば、その問題は解消されます。 pic.twitter.com/bi3wMToNGB

メニューを開く

検証のためのSystemVerilogプログラミング [ 篠塚 一也 ] hb.afl.rakuten.co.jp/hgc/g00q072e.m… #お得

フルーツ大好き@apurrukuzu

メニューを開く

SystemVerilog の弱い参照と強い参照との関係を示す分かり易い例を紹介しましょう。 pic.twitter.com/jbClXUSQg3

メニューを開く

SystemVerilog の Interface 記述とか使えると便利そうと思ってはいるけど、現状なかなか手が出ない

☆じょてい☆@hokuto3104mod

メニューを開く

なおVerylならいいのかと言われると、Verylの生成物のSystemVerilogで説明すればいいというのはある。

Kenta IDA@ciniml

メニューを開く

module(input [31:0] N,outout [31:0] s); logic [31:0] c0,c1,c,s0; assign c0=N&32’h1; assign s0=N^32’h1; assign c=c0 | c1; assign c1=s0&(c<<1); assign s=s0^(c<<1); endmodule 言語はSystemVerilog(ハードウェア記述言語)です!(多分合成できない)

えびま@evima0

+や-なしでintに1を足すには? #ゆっくり解説 久々にこういうのを作ってみました。解法はほぼ一通りだと思っていますが、前回(for,while,goto,再帰なしで100万回Hello, World!)は何十個も別解が来たのでまた別解があるかもしれません、あったら教えてください。youtube.com/shorts/rSEoyOE…

メニューを開く

直近書いてるDisplayPort周りのRTLのモジュールやDDSの波形生成モジュールはVerylで書いている。Rust書いてる身としてはかなり書きやすい。生成されたSystemVerilogがほぼ1対1対応なので読めるってのも重要なところ。 github.com/ciniml/fpga_sa…

Kenta IDA@ciniml

メニューを開く

stableではないので当たり前だが、今のところverylで色々書くのは苦行ということが分かった。ただ、SystemVerilogに比べて書きやすく、自分的には非常に期待度が高い。

メニューを開く

SystemVerilogでブロッキング代入とノンブロッキング代入を一つのalways内で行う場合にalways_combやalways_ffを使わずに書くことになるのでVerilogHDLでいいんじゃねぇかってなる

かんな丸⁧!!⁨@pgate1

メニューを開く

SystemVerilogには誤りを未然に防ぐための仕組みが多く組み込まれています。今回の改訂版により、その仕組みが設計と検証の両分野で強化されている事がわかります。ここで、それらを一旦整理してみます。 pic.twitter.com/9i6oO1hevN

トレンド23:25更新

  1. 1

    ニュース

    Visa

    • プレミアム会員
    • MasterCard
    • ニコニコ Visa
  2. 2

    エンタメ

    梅田彩佳

    • 中山優馬
    • 森公美子
    • 町田慎吾
    • SHOCK 5/9
    • 2018回
    • 薔薇の花束
    • 2000本
    • 上田くん
    • 上田竜也
    • 久美子さん
    • 今井翼
    • 21:50
    • Endless SHOCK
    • SHOCK
  3. 3

    ミルクせんべい

    • ケンミンショー
    • 梅ジャム
    • ソースせんべい
    • 今日はは
    • ホタルイカ
    • 関西だけ
  4. 4

    ニュース

    持ち逃げ

    • 刺された
    • ストーカー
    • 自己救済
  5. 5

    ITビジネス

    ゲートルーラー

    • 池っち店長
    • Discord
  6. 6

    エンタメ

    ニキめめ

    • なべこじ
    • いわめめ
    • ひーくん
    • ひかるくん
  7. 7

    ファッション

    早瀬憩

    • 新垣結衣
    • 堂本光一
    • 小宮山莉渚
    • 山﨑賢人
    • 山里亮太
    • 染谷将太
    • なかやまきんに君
  8. 8

    アニメ・ゲーム

    F/A

    • アケアカ
    • BGM
    • アーケードアーカイブス
    • PS4
  9. 9

    エンタメ

    素のまんま

    • 岩本照
    • 康二くん
    • Snow Manの
    • 文化放送
    • 佐久間くん
    • 聴き逃し
    • 夜9時
  10. 10

    エンタメ

    自転車アイドル

    • 筋肉超人
    • 濵ちゃん
    • しげちゃん
    • はましげ
    • 重岡くん
    • 重岡さん
    • 濵田
    • 濵田崇裕
    • 重岡大毅
    • 重岡
    • グループのために
    • アイドル
20位まで見る

人気ポスト

よく使う路線を登録すると遅延情報をお知らせ Yahoo!リアルタイム検索アプリ
Yahoo!リアルタイム検索アプリ